ImageVerifierCode 换一换
格式:DOC , 页数:23 ,大小:222.50KB ,
资源ID:848598      下载积分:2000 积分
快捷下载
登录下载
邮箱/手机:
温馨提示:
如需开发票,请勿充值!快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝扫码支付 微信扫码支付   
注意:如需开发票,请勿充值!
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【http://www.mydoc123.com/d-848598.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文([考研类试卷]数据的表示和运算模拟试卷4及答案与解析.doc)为本站会员(priceawful190)主动上传,麦多课文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知麦多课文库(发送邮件至master@mydoc123.com或直接QQ联系客服),我们立即给予删除!

[考研类试卷]数据的表示和运算模拟试卷4及答案与解析.doc

1、数据的表示和运算模拟试卷 4 及答案与解析一、单项选择题1 下列数中最大的数是( )。(A)1000000B(B) 1250(C) 10000110BCD(D)55H2 下列编码中,不用于表示字符的是( )。(A)BCD(B) EBCDIC(C) UniCode(D)ASC 3 下列关于汉字编码的说法,错误的是( )。(A)用于输入汉字的编码称为输入码或外码(B)用于输出汉字的编码称为字模码(C)计算机存储、处理汉字所使用的编码称为机内码或内码(D)输入码或外码与汉字字符的对应关系是一一对应的关系4 汉字内码(两个字节长) 的每个字节的最高位为( ),以区别 ASC码。(A)1(B) 0(C)

2、奇偶校验码(D)海明码5 UniCode 编码占用( )字节。(A)1(B) 2(C) 3(D)46 下列字符码中带有偶校验的信息是( )。(A)1 1001000B(B) 10010001 B(C) 000100l I B(D)010101 10B7 接收到的(偶性) 汉明码为 1001 101 B,其中的信息为( )。(A)1001(B) 001 1(C) 01 10(D)01008 已知 CRC 校验的一个数据字为:l001 0101 1001 B,设采用的生成多项式为:G(x)=x3+1,则校验码为( )。(A)001 1 B(B) 0010B(C) 01 1 B(D)010B9 下列

3、定点小数与定点整数的说法中,正确的是( )。(A)由于要存储小数点,存储定点小数要比相同字长的定点整数多花费硬件(B)在同一个 ALu 中,定点小数可以与定点整数进行算术运算(C)为了得到更多的有效数字,定点整数进行除法运算的结果必须精确到小数点后(D)定点小数的运算结果绝对不能大于或等于 110 “0(零)” 的表示不唯一的编码是( )。(A)原码(B)补码(C)移码(D)以上 3 种编码11 补码表示的 8 位二进制定点小数所能表示数值的范围是( )。(A)一 01111111B01111111B(B)一 10000000B 01111111B(C)一 01111111B 10000000

4、B(D)一 10000000B10000000B12 补码表示的 16 位二进制定点整数的表示范围是( )。(A)一 32 76732 767(B)一 32 76732 768(C)一 32 76832 767(D)一 32 76832 76813 在字长为 8 的定点小数计算机中,一 1 的补码是( )。(A)10000000B(B) 1 1000000B(C) 10000001 B(D)00000001 B14 设x 补 =0 0101 B,y 补 =01001 B,则计算xy 补 后,状态寄存器中 ZF(零标志)、VF(溢出标志)、NF(符号标志)、CF(进位标志 )的值为( )。(A)

5、0000(B) 1 100(C) 1000(D)OOlO15 在定点小数计算机中,( )的原码与补码相同。(A)0(B) 1(C)一 01 B(D)一 116 采用补码表示时,16 位二进制定点整数的表示范围是( )。(A)065 535(B)一 3276832767(C)一 32 76732 767(D)一 32 76732 76817 在字长为 8 的定点整数计算机中,无符号整数 X=246,则一 x补码 为( )。(A)0000101 0B(B) 11110110B(C) 01110110B(D)11111011 B18 已知 C 程序中,某类型为 int 的变量 x 的值为一 1088

6、。程序执行时,x 先被存放在 16 位的寄存器 R1 中,然后被进行算术右移 4 位的操作。则此时 R1 中的内容(以十六进制表示) 是( ) 。(A)FBCOH(B) FFBCH(C) OFBCH(D)87BCH19 下列关于原码与补码的说法中,不正确的是( )。(A)原码与补码是针对有符号定点数而言的,无符号定点数没有原码与补码的定义(B)在相同字长的情况下,补码的表数范围要大于原码的表数范围(C)在相同字长的情况下,补码的表数精度与原码的表数精度相同(D)引入补码的目的是为了扩大计算机的表数范围20 下列关于原码加减交替除法(也叫不恢复余数除法)的说法,正确的是( )。(A)当某一步的余

7、数为负时,停止计算(B)当某一步的余数为正时,改为进行加法计算(C)整个运算过程中不会做恢复余数操作(D)仅当最后一步的余数为负时,需要将恢复为原先正的余数21 下列关于移码的说法中,错误的是( )。(A)若一个数的移码的符号位是 1,则该数为正数(B)只有定点整数才有移码(C)任何情况下,移码和补码只是在符号位有差别(D)引入移码的目的主要是为了便于比较两个整数的大小22 下列关于定点整数加法的说法,正确的是( )。(A)无符号定点整数的加法和带符号定点整数的加法分别在不同的加法器上进行(B)无符号定点整数的加法不判断溢出(C)无符号定点整数不能与带符号定点整数在一起进行加法运算的(D)执行

8、带符号定点整数加法后,如果 n 位加法器输出的最高两位不同,则溢出23 表示定点数时,若要求数值零在计算机中唯一表示成“全 0”,应采用( )。(A)原码(B)补码(C)反码(D)移码24 机器内部的 32 位二进制数 10000000 00000000 00000000 00000000,当分别理解成无符号整数、整数和 IEEE 754 标准的单精度浮点数时,对应的真值分别是( )。(A)2 31、一 231、一 00(B) 2-31、一 2-31、00(C) -231、一 231、一 00(D)一 2-31、2 -31、0025 有 32 位二进制数 1111111111 11 11 11

9、 11 11 11 11 11 11 11 11,当其分别被理解成无符号整数、整数和 IEEE 754 标准的单精度浮点数时,对应的真值分别是( )。(A)2 32 一 1、2 31 一 1、一 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 12128(B) 232 一 1、一 1、一 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 2 128(C) 232 一 1、一 1、NAN(非数)(D)一(2 32 一 1)、一 1、 NAN(非数)26 有 32 位二进制数 11111111 10000000

10、00000000 00000000,当其分别被理解成无符号整数、整数和 IEEE 754 标准的单精度浮点数时,对应的真值分别是( )。(A)一(2 9 一 1)223、(2 9 一 1)223、一 102 128(B) (29 一 1)x223、一(2 23 一 1)x223、一 10x2 128(C)一 (29 一 1)223、(2 9 一 1)223、(无穷大)(D)(2 9 一 1)223、一(2 8 一 1)223、一(负无穷大)27 某浮点数采用 IEEE 754 单精度格式表示为 C5100000H,则该数的值是( )。(A)一 11252 10(B)一 11252 11(C)一

11、 01252 11(D)一 01252 1028 采用双符号位(变形补码)判断溢出时,当结果符号为 01,称发生了( )。(A)上溢(B)下溢(C)正溢出(D)负溢出29 定点加法器完成加法操作时,若次高位的进位与最高位的进位不同,即这两个进位信号“异或 ”运算的结果为 1,称发生了( )。(A)故障(B)上溢(C)下溢(D)溢出30 下列关于机器零(00)的说法,错误的是( )。(A)只要尾数为零,无论阶码为何值都被置为 0,这个浮点数都被称为机器零(B)只有运算结果的阶码和尾数同时为零,计算机才把这个结果当成机器零(C)机器零的符号位可以是 1(D)当阶码发生下溢(即小于最小阶码),无论尾

12、数取何值,这个浮点数都被当成机器零31 下列关于机器零的说法,正确的是( )。(A)两个相等的整数相减的结果就是机器零(B)计算机使用“000000”来唯一地表示机器零(C)机器零有“+00” 和 “一 00”之分(D)计算机可以表示的最小的浮点数是机器零32 下列关于机器零的说法,正确的是( )。(A)发生“下溢 ”时,浮点数被当做机器零,机器将暂停运行,转去处理“ 下溢”(B)只有以移码表示阶码时,才能用全 0 表示机器零的阶码(C)机器零属于规格化的浮点数(D)定点数中的零也是机器零33 当且仅当( ) 发生时,认为浮点数溢出。(A)阶码上溢(B)尾数上溢(C)尾数与阶码同时上溢(D)尾

13、数或阶码上溢34 在浮点数的表示中,( )是隐含的。(A)阶码 B。数符(B)基数(C)尾数35 对于长度固定的浮点数,若尾数的位数增加、阶码的位数减少,则( )。(A)可表示浮点数的范围与表示精度不变(B)可表示浮点数的范围与表示精度增加(C)可表示浮点数的范围增加,但表示精度降低(D)可表示浮点数的范围变小,但表示精度提高36 下列关于定点数与浮点数的说法,正确的是( )。(A)长度相同的定点数与浮点数,所能表示数的个数相同(B)长度相同的定点数与浮点数,所能表示数的精度与范围相同(C)在长度相同的情况下,定点数所表示数的精度要高于浮点数所表示数的精度(D)在长度相同的情况下,定点数所表示

14、数的范围要低于浮点数所表示数的范围37 下列关于浮点数基数的说法中,错误的是( )。(A)当基数为 8 时,阶码变化 1,尾数移动 3 位(B)在长度相同的情况下,基数越大,所能表示数的个数越多(C)在长度相同的情况下,基数越大,所能表示数的精度越高(D)在长度相同的情况下,基数越大,所能表示数的范围越大38 下列关于浮点数的说法中,正确的是( )。(A)无论基数取何值,当尾数(以原码表示)小数点后第一位不为 0 时即为规格化(B)阶码采用移码的目的是便于移动浮点数的小数点位置以实现规格化(C)浮点数加减运算的步骤是对阶、尾数求和、规格化、舍入处理、判断溢出(D)IEEE 754 标准规定规格

15、化数在二进制小数点后面隐含一位的“1”39 下列关于浮点数的说法中,正确的是( )。(A)最简单的浮点数舍人处理方法是恒置“1”法(B) IEEE 754标准的浮点数进行乘法运算的结果肯定不需要做“ 左规”处理(C)浮点数加减运算的步骤中,对阶的处理原则是大阶向小阶对齐(D)当补码表示的尾数的最高位与尾数的符号位 (数符)相同时表示规格化40 在 C 语言中,变量 i、j 和 k 的数据类型分别为 int、float 和 double(int 用补码表示,float 和 double 分别用 IEEE 754 单精度和双精度浮点数据格式表示),它们可以取除+、一和 NAN 以外的任意值。在 3

16、2 位机器中执行下列关系表达式,结果恒为真的是( ) 。i-=(int)(float)i i_=(int)(double)i k=(float)kj=(double)j (j+i)一 j=i j=一( 一 j)(A)、和(B) 、和(C) 、和(D)和41 处理器中的 ALU 采用( )来实现。(A)时序电路(B)组合逻辑电路(C)控制电路(D)模拟电路42 不属于 ALU 的部件有( )。(A)加法器或乘法器或除法器(B)移位器(C)逻辑运算部件(D)指令寄存器43 已知 SN741 81 和 SN741 82 芯片分别是 4 位 ALU 部件和 4 位 BCLA(成组先行进位)部件,用它们

17、构成 64 位快速 ALU 时,需要 SN74181 和 SN74182 的片数分别是( )。(A)8、2(B) 8、3(C) 16、4(D)16、544 组成 32 位两级分组先行进位链的 ALU,需要 74181 和 74182 的片数分别是( )。(A)8、4(B) 8、2(C) 16、4(D)16、245 4 片 74181 ALU 与 1 片 74182BCLA 组成的 16 位 ALU 具有( )功能。(A)组内行波进位,组间行波进位(B)组内先行进位,组间先行进位(C)组内先行进位,组间行波进位(D)组内行波进位,组间先行进位二、计算题45 在一个字长和地址位数均为 32 位、按

18、字节编址、小端方式、浮点数采用 IEEE 754 标准(1 位数符,8 位阶码,23 位尾数)的计算机上运行如下类 C 程序段:int x=65535:int y=一 65535;float f=(float)x;float g=(float)y;int*p=&y;若编译器编译时为上述变量分别分配了内存空间。执行上述程序段后,p 的值为ABCDEF78H,请回答下列问题 (提示:带符号整数用补码表示 )。46 执行上述程序段后,变量 x、y、f 和 g 的值分别是什么?(用十六进制表示)47 执行上述程序段后,地址 ABCDEF79H 所对应存储单元的值是多少?48 在一个字长为 32 位、按

19、字节编址、小端方式的计算机上运行如下类 C 程序段:int x=5319l:short y=(short)x;int j=y;若编译器编译时为上述变量分别分配了内存空间(提示:带符号整数用补码表示)。执行上述程序段后,内存中 y 和 j 的值是多少?(用十六进制表示)49 一个 8 位数据 M 为 M8M7M6M5M4M3M2M1=01 101010B,其对应的海明校验码为 P=尸。P, P2P1。M 和 P 被存储或传输的形式为M8M7M6M5P4M4M3M2P3M1P2P1。现得到新数据和校验码为 M和 P如下。请分别计算它们的故障字 S=S4S3S2S1,并进行纠错处理。 (1)M=01

20、101010,P”=0011。 (2)M=01111010B,P”=0011 。 (3)M=01101010B ,P=1011。 数据的表示和运算模拟试卷 4 答案与解析一、单项选择题1 【正确答案】 A【试题解析】 A=128,其他都是 85。【知识模块】 数据的表示和运算2 【正确答案】 A【试题解析】 BCD 码主要用于表示十进制数,4 位二进制编码表示 1 位十进制数。EBCDIC 和 ASCII 码表示的是西文字符或符号,7 位二:进制编码表示 1 个字符。【知识模块】 数据的表示和运算3 【正确答案】 D【试题解析】 此题考查汉字编码的基本知识。【知识模块】 数据的表示和运算4 【

21、正确答案】 A【试题解析】 汉字内码每个字节的最高位为 1,以区别 ASCII 码。【知识模块】 数据的表示和运算5 【正确答案】 B【试题解析】 旨在表示表示世界上所有字符或符号的 UniCode 的码长为 2 字节。【知识模块】 数据的表示和运算6 【正确答案】 D【试题解析】 实行偶校验的信息中“1”的个数为偶数,奇校验为奇数。【知识模块】 数据的表示和运算7 【正确答案】 D【知识模块】 数据的表示和运算8 【正确答案】 C【知识模块】 数据的表示和运算9 【正确答案】 D【知识模块】 数据的表示和运算10 【正确答案】 A【知识模块】 数据的表示和运算11 【正确答案】 B【知识模块

22、】 数据的表示和运算12 【正确答案】 C【知识模块】 数据的表示和运算13 【正确答案】 A【知识模块】 数据的表示和运算14 【正确答案】 D【知识模块】 数据的表示和运算15 【正确答案】 C【知识模块】 数据的表示和运算16 【正确答案】 B【知识模块】 数据的表示和运算17 【正确答案】 A【知识模块】 数据的表示和运算18 【正确答案】 B【知识模块】 数据的表示和运算19 【正确答案】 D【知识模块】 数据的表示和运算20 【正确答案】 D【试题解析】 此题考查原码加减交替除法(也叫不恢复余数除法)的运算步骤。【知识模块】 数据的表示和运算21 【正确答案】 C【知识模块】 数据

23、的表示和运算22 【正确答案】 B【试题解析】 此题考查定点整数加法的基本概念。【知识模块】 数据的表示和运算23 【正确答案】 B【试题解析】 此题考查知识点:只有补码形式下数值零才能唯一表示成“全 0”。【知识模块】 数据的表示和运算24 【正确答案】 A【知识模块】 数据的表示和运算25 【正确答案】 C【试题解析】 此题考查知识点:整数在机器内部以补码形式存储;当阶码为最大值 11 11 11 11,而尾数不等于零,IEEE 754 标准下的浮点数为“NAN(非数)”。【知识模块】 数据的表示和运算26 【正确答案】 D【知识模块】 数据的表示和运算27 【正确答案】 B【试题解析】

24、该浮点数的数符为 1,阶码为 1000 1010B(一 127)=11,尾数为(1)001 B=1125。【知识模块】 数据的表示和运算28 【正确答案】 C【试题解析】 此题考查的知识点有:双符号位(变形补码)判断溢出的规则,双符号位的最高位总是数值的符号,正溢出与负溢出的概念。【知识模块】 数据的表示和运算29 【正确答案】 D 【试题解析】 此题考查的知识点:定点加法器判断溢出的规则;上溢与下溢是针对浮点数而言,定点数只有溢出、正溢出与负溢出的概念。【知识模块】 数据的表示和运算30 【正确答案】 B【试题解析】 机器零是针对浮点数而定义的。【知识模块】 数据的表示和运算31 【正确答案

25、】 C【知识模块】 数据的表示和运算32 【正确答案】 B【试题解析】 此题考查的知识点:只有以移码表示阶码时,才能用全 0 表示机器零的阶码。【知识模块】 数据的表示和运算33 【正确答案】 A【试题解析】 浮点数溢出是指阶码溢出(超出所能表示的最大值)。尾数上溢时,尾数将被右移 1 位,阶码加 1,这个操作被称为右规。【知识模块】 数据的表示和运算34 【正确答案】 C【试题解析】 在浮点数的表示中,基数是隐含的,机器在设计与实现时就确定了。【知识模块】 数据的表示和运算35 【正确答案】 D【试题解析】 此题考查浮点数格式中尾数位数与所表示数据精度的关系以及阶码位数所表示数据范围的关系。

26、【知识模块】 数据的表示和运算36 【正确答案】 D【试题解析】 此题考查的知识点:浮点数所能表示数的范围和精度都要优于长度相同的定点数所能表示数的范围和精度。【知识模块】 数据的表示和运算37 【正确答案】 C【试题解析】 此题考查的知识点:在长度相同的情况下,基数越大,所能表示数的个数越多、所能表示数的范围越大,但是所能表示数的精度降低。【知识模块】 数据的表示和运算38 【正确答案】 C【知识模块】 数据的表示和运算39 【正确答案】 B【试题解析】 此题考查的知识点:最简单的浮点数舍入处理方法是截断法;IEEE 754 标准的浮点数的尾数都是大于等于 1 的,所以乘法运算的结果也是大于

27、等于 1,故不需要做“左规”;对阶的原则是小阶向大阶对齐;当补码表示的尾数的最高位与尾数的符号位(数符)相异时表示规格化。【知识模块】 数据的表示和运算40 【正确答案】 C【试题解析】 此题考查的知识点:int 型数据向 float 型转换时可能丢失有效数位,再回到 int 型数值可能改变;int 型数据向 double 型转换时不会丢失有效数位,再回到 int 型数值不变;double 型数据向 float 型转换时可能丢失有效数位,而 float型数据向 double 型转换时不会丢失有效数位;浮点数取负就是简单地将数符取反。【知识模块】 数据的表示和运算41 【正确答案】 B【试题解析

28、】 ALu 采用组合逻辑电路来实现。一旦输入改变,输出立即改变。【知识模块】 数据的表示和运算42 【正确答案】 D【试题解析】 ALU 主要由加法器、乘法器、除法器、移位器和逻辑运算部件组成,指令寄存器和指令译码器属于控制器 CU。【知识模块】 数据的表示和运算43 【正确答案】 D【试题解析】 此题考查 74181 和 74182 的功能及基于它们的 ALU 的基本组成。【知识模块】 数据的表示和运算44 【正确答案】 B【试题解析】 此题考查两级分组先行进位链的概念。【知识模块】 数据的表示和运算45 【正确答案】 B【试题解析】 此题考查行波进位和先行进位的概念。【知识模块】 数据的表

29、示和运算二、计算题【知识模块】 数据的表示和运算46 【正确答案】 变量 x 的值 65535,故其内容为:x 原码 =x补码 =0000 0000 0000 0000 1111 11111 1111 1111B=0000 FFFF H。 变量 y 的值一 65535,故其内容为: y原码 =1000 0000 0000 0000 1111 1111 1111 1111B, y 补码 =1111 1111 1111 1111 0000 0000 0000 0001 B=FFFF 0001 H。 变量 f 的值 655350,故其内容为: 变量 g 的值一 655350,故其内容为: 【知识模块

30、】 数据的表示和运算47 【正确答案】 变量 P 的值(ABCDEF78H)为变量 y(FFFF 0001 H)所在存储单元的地址。由于按字节编址并采用小端方式,故 ABCDEF78H 所对应存储单元存储的是:01 H;ABCDEF79H 所对应存储单元存储的是:00H ;ABCDEF7AH 所对应存储单元存储的是:FFH;ABCDEF7BH 所对应存储单元存储的是:FFH 。则 ABCDEF79H 所对应存储单元存储的是: 00H。【知识模块】 数据的表示和运算48 【正确答案】 x=53191,则x 原码 =x补码 =00 00 CF C7 H。 (short)x 从 4 个字节的 x 中

31、读(截下) 低 2 个字节并赋给 y。 则y 补码 =CF C7 H,y=一 12345。 语句“int j=y;”将 2 字节的 y 赋给 4 个字节的 j,需要进行符号位扩展。 则,j 补码 =FF FF CF C7 H,j=一 12345。【知识模块】 数据的表示和运算49 【正确答案】 (1)数据 M的校验码 P中各位分别为:(2)数据 M的校验码 P中各位分别为: 表明第 9 位数据,即 M5 出错。纠正 M5,得到的数据为 01101010。(3)数据 M的校验码 P中各位分别为: 表明第 9 位数据,即 P4出错。由于是校验位出错,无需纠正,得到的数据正确。【知识模块】 数据的表示和运算

copyright@ 2008-2019 麦多课文库(www.mydoc123.com)网站版权所有
备案/许可证编号:苏ICP备17064731号-1